Tumgik
#koushiro event
taikouvember · 5 months
Text
Tumblr media
TAIKOUVEMBER 2024 - ANNOUNCEMENT POST
Hello everyone!
First of all, thank you to all 30 participants of the interest poll, it was very interesting to see how another ship week would be perceived! Considering that the majority of voters confirmed to be happy to participate or enjoy the event, we shall dive into the third year of Taikouvember! (Title picture + new icon by @seventeenlovesthree)
November is the last month of autumn, right before Christmas time begins. It’s a time that can be filled with light and coziness, gloominess and melancholy, inspiring us to reminisce about our best, most precious qualities - and those of the ones we love. So, November 4th to November 10th shall be dedicated to the platonic or romantic relationship between Taichi Yagami and Koushiro Izumi (Taikou, Koutai or mainly known as Taishiro(u) in the English speaking fandom). Of course they're known for their courageous and knowledgable traits - so this time, let's shed some light on their potential other qualities:
Day 1, Monday, November 4th: Friendship
Day 2, Tuesday, November 5th: Love
Day 3, Wednesday, November 6th: Sincerity
Day 4, Thursday, November 7th: Reliability
Day 5, Friday, November 8th: Hope
Day 6, Saturday, November 9th: Light
Day 7, Sunday, November 10th: Kindness / Miracles
You can interpret the prompts however you like. In addition, you are absolutely free to use the same prompts for 2022 and 2023 if these are more to your liking! If you want us to reblog your posts, please tag everything as #taikouvember, #taikouvember2024 or #taikouvember 2024 and we will be happy to share your content, let it be fanart, fanfiction, edits or whatever comes to your mind.
@digievents If possible, I would love for the event to be added to this year's calender!
Please check our Rules & FAQ and a more detailed Prompt Guideline for more information. Stay tuned for the upcoming updates!
44 notes · View notes
seventeenlovesthree · 3 months
Text
Tumblr media
@taichiyagamiweek Day 6, July 12th 2024: Taichi & Koushirou
If you're familiar with my preferences, you know that Taichi and Koushirou's relationship is my absolute favourite topic to talk about. They're your typical "brawns/brains" combination at first glance, the courageous protagonist and his knowledgable right-hand-man, who support each other through thick and thin and drive the plot forward - but to me, it has always been deeper than that. They're constantly enabling and complementing each other in their strengths and weaknesses - without Taichi's encouragement, Koushirou would not have gone to Summer Camp to eventually become a lot more confident in his abilities and usefulness; and without Koushirou's input, Taichi would have been stuck at various points, unable to save Sora or to solve the card riddle to get them all back home. There is a sense of loyalty between them that persists through the years - so them getting together to stop a rampaging Digimon from causing havoc on the net or their high commitment to parent support the 02 kids are no accidental feats. Neither is the fact that Koushirou continues to create distortion-tracking devices in the shape of goggles specifically. And even though there seem to be periods of increased physical distance between them, they won't stop getting in touch, believing in and trusting each other in times of emergency, even as the years go by. It's no surprise to me that their careers end up being the ones most intertwined with Digital World affairs - and I'm convinced that these two best friends won't stop having each other's backs through it all.
28 notes · View notes
izumikoushiroweek · 3 months
Text
Izumi Koushiro Week 2024 Interest Survey
Hello, global Chosen! Are you interested in a Tumblr event celebrating Izumi Koushiro and Tentomon in 2024?
As in the past, the length of the event depends on the ratio of responses. If, "Yes, I would like to participate," has the most responses, this blog will host a 4 day event for Koushiro and Tentomon (1 day to reblog your Koushiro content that is not already in this blog's archive and 3 days for new creations). If, "I an unlikely to participate, but I look forward to the entries," has the most responses, then this blog will hold a two day event (1 reblog day, 1 new content day). If the majority of responders are not interested, then this blog will not hold an event in 2024. If that does happen, then there are no hard feelings! Everyone's mental health is more important than a Tumblr event <3 So please answer however is right for you.
If responders indicate interest in the event, then I will hold it in October, as usual. Click here for rules and prompts from last year.
21 notes · View notes
koushirouizumi · 3 months
Text
vimeo
Digimon Adventure+02/tri./Kizuna/(+Adventure:) {2020 Reboot} + Digimon Adventure 02: The Beginning (+minimal Kizuna) A.M.V x “Apologize” {David Archuleta} Live Concert Version Featuring Characters/Duos/Ships: + {+2020!}/{Kizuna!}KOUTAI, {02!}KENSUKE, (Implied/Former?/Un-requited??) YamaSoraTai/Yamachi, {briefly/+also from Yamato's end); Adventures Chosen; (Overall 02 Chosen-Leaning +Side KouxTai)
“I’m hearing 'what' you say…”
“Take a Fall {?}”–
“I Need you like a heart 'needs' a BEAT– … BUT that’s Nothing {N E W…}”
“{’XXXXX’} like the A N G E L…"
“IT’S TOO– (???)”
"...Ten feet..."
Tumblr media
Comment: If you think I'M not in this CROWD somewhere, {despite the fact my voice is really soft 'irl'} you might just be Mistaken,,,,, (Also - This is my overall personal 'final thesis' on The Beginning.) {If you watch, please genuinely try to FOLLOW the themes presented.}
{Note: Tri Pt. #6 Bokura no Mirai/"Our Future" Spoilers, Major The Beginning Spoilers, (select scenes from opening, final battle, FINAL Post-credits scene at very end clip) + middle part Big spoiler (Rui’s eye & Ukkomon) {Notes: Eye Trauma/Injury; Blood}
Original Song © O.n.e.R.e.p.u.b.l.i.c Archuleta cover from AUGUST 1st 2009’s Sunrise, Florida Concert {“Hey O.P., can you explain that GAP in your blogs' hISTORY of this fANDOM—???”} [Do you hear 'THEM' here???]
*Edited in about 5 hrs 10~ min overall (Preparing for this however took at least four days of off-and-on out-lining) {Any final fix's will come in the future...!!}
{*Slightly LOUD/Low quality audio at points!!} (*Contains cheering, as its LIVE Ver.)
by Me/Hikari M. Productions @hikari-m/@koushirouizumi/@izzyizumi {DO NOT Repost} {DO NOT Copy} {DO NOT Reproduce my Work/Video Edits Without my Permission Under any Circumstances}
Tumblr media Tumblr media Tumblr media Tumblr media Tumblr media
9 notes · View notes
deathberi · 1 year
Text
MIMATO HATERS GET LOST FOR A SECOND CHALLENGE
FAILED MISERABLY
Tumblr media
@mishiroweek COME OUT LOSER 🖕🏻🖕🏻🖕🏻
25 notes · View notes
izzyizumi · 1 year
Text
Tumblr media Tumblr media
Digimon Ghost Game ~ Hiro & Gammamon + {Tanabata}! (Japanese cultural holiday taking place on July 7th!)
#digimon ghost game#digimon: ghost game#hiro amanokawa#amanokawa hiro#hiro and gammamon#izzyizumi posts#(OK so Fun Story Time)#(Way back in 2k13 during Tanabata of that year I was very actively involved in a big 'pan-fandom' wide r.p. {role-play} game)#(This wasn't on Tumblr but it was elsewhere and Anyway so I wasn't playing from DigiAdvs at time though I did have my Koushiro he was just)#(Getting Started with my Koushiro Voice Testing & at time I was testing out other charas too & one is like Japan EmbodiedTM)#(Im Not Saying Who They Were {I had a few Similar} but anyway 2k13 was the year immediately after Grandpa on my not-Jew end passed)#(and I was close to Grandpa on that end & Grandparents in general too & Grandpas passing at time hit me *super* hard too)#(At same time. Multiple people were dropping from the rp game {it was still pretty active but} it had been slowing a bit as a result)#(So I got the idea to have my chara hold a Tanabata event post and it actually got like 1200+ comments total)#(of course half of those were replies during threads but anyway it was a surprisingly big success for me to have made that event work)#(At the time my charas 'wish' had simply been 'I hope for the remainder of the following year to be Good')#(What my Chara meant was 'I do not Need a Wish but if I have one I hope everyone elses Wishes can come true for them')#(and also 'if I must make a Wish I would Wish to not {be the only one left here} by the end of That Time')#(and my rp partner who threaded with me had their chara be like 'I'll wish for your wish to come true' & wrote it in charas 5 languages)#(They didnt Know I also meant re the rp games stability but like anyhow that event post was one of my most fun rp experiences ever)#(Fam deaths hit me super hard & I was in a very dark place at time but being able to experience that event really helped me that year)#(I probably wouldn't have kept this blog running on queue for as long if it hadn't been for things like That really helping in between)#(in general I'm really grateful cultural holidays like Tanabata still exist for Japanese people especially as I am {myself} a Jew)#(& we have our own cultural holidays & they may clash at times with Concepts but at the same time I *do* believe we can have solidarity)#(anyway im super Super Happy that if not Koushiro. *Hiro* could get a Tanabata piece because I feel it fits Hiro+Gammamon a TON too)#(Hiro would definitely be the type to be like 'I wish for the remainder of the year to be Good {for Everyone}' & Koushiro Would Too)#(but it does kind of Hit in a Certain way for Hiro+Gammamons storyline in itself Too & I'm just super grateful Hiro could get July theme)#(because if it really couldnt be Koushiro. & I wanted Koushiro for either Tanabata or Aug 1st in itself if not rainy season {June})#(Hiro was Next Best Choice & anyway This is also what I mean when I say I think cultural themes with this series should be Acknowledged)#(When They Happen in Various Official Arts or even eps INVOLVING the Chosen themselves because these are *cultural specific holidays*)
13 notes · View notes
taichiyagamiweek · 4 months
Text
Tumblr media Tumblr media
Hello, everyone! Thank you for your patience! Apologies for the delay in this announcement:
We are happy to announce that the dates for Taichi Yagami Week 2024 will be July 7th to July 13th!
This year, we're mixing things up. The prompts follow a theme - "Ft. The Chosen"! Therefore, all of the prompts center around Taichi's friends, the other Chosen Children!
As such, the prompts will be as follows:
July 7th: Taichi & Yamato
July 8th: Taichi & Sora
July 9th: Taichi & Jou
July 10th: Taichi & Takeru
July 11th: Taichi & Mimi
July 12th: Taichi & Koushiro
July 13th: Taichi & Hikari
Since the votes were split on the month & the theme for prompts, I used random generator methods to decide the timing and theme for the event. Regardless of month, most votes wanted the second week of whichever month!
A note: I will be away during the week of the event, and as of right now, I am rather unsure about my access to Internet. Theoretically, I should be fine to reblog entries during a certain time each day, but I will not know for a while. It is entirely possible I will need to recruit someone to help manage the blog while I'm away. Stay tuned for that announcement!
Thank you all for your patience and your excitement!
65 notes · View notes
ahiddenpath · 2 months
Text
Tumblr media
Cover art commissioned from kbondoxxxxav
HAPPY ODAIBA DAY! I just published a new chapter of my digimon fanfic, Infinite Possibilities! Read it on AO3 or FFN!
In today's chapter, Koushiro gives Eimi background info on the relationship between the Chosen and the Bureau, and we see the lingering damage from the events of Tri. I was so interested in thinking up what happened to the 02 kids afterward, I hope I can expand those ideas into a full fic someday.
I'm so excited, because things really start to pick up next chapter, when Hikari and Miyako tell the villages of baby digimon what happened to their missing friends.
Fic Summary:
As more people meet their digimon partners, the challenges of digimon and humans coexisting on earth cannot be ignored. College student Anami Eimi begins her career in digimon research, hoping to help digimon and humans understand one another. When she discovers caged digimon in her new lab, she contacts the Japanese Chosen, setting off a chain of events that force the Chosen and their digimon to reevaluate the dynamics of their partnership.
This fic is set pre and post Kizuna, and is a mostly canon compliant expansion. It's a three phase story, the first starring OC Anami Eimi, then Izumi Koushiro, then Yagami Hikari. It explores the realities, challenges, and politics of digimon living on earth, and the nature of the Chosen/digimon partnership.
Can the Chosen and their digimon find the infinite possibilities that will sustain their partnerships into adulthood?
There are mentions of couples in this fic, but it's action/world expansion/plot driven, not a romance.
41 notes · View notes
sloanerisette · 2 months
Text
Fic For Odaiba Day 2024: You Need A Promotion, I Want A Shake Up, So Let's Get Married!
Hello everyone! Happy Odaiba Day! 25 years of Digimon! It's incredible to think about! I'm so happy I've gotten back into this show and this fandom because it's been so wonderful!
I know it's not Odaiba Day in America but it IS Odaiba Day in Japan so I'm going to post the FIRST of my two fics I'm osting for Odaiba Day!
Title: You Need A Promotion, I Want A Shake Up, So Let's Get Married! Summary: A newly 30 Mimi Tachikawa has it all: great friends, her dream career, and tons of fans, but she's missing one thing: a relationship she isn't allowed to have because of an overbearing manager.
Jou Kido is living a simple life: he goes to work at his father's hospital, he comes home, he goes to sleep and does it again. However, pressure from his father has him needing to live up to his lofty expectations to one day secure a promotion that will make all of the work he's done in his life worth it.
When the two of them get in touch after so many years and learn of each other's struggles, they decide on the obvious solution: pretend they're married. Big thanks to Camp Digimonth and zuz-senpai for all the support and excitement for this fic! I'm so happy to have this first chapter out and I hope you all enjoy! I'll post a blurb here under the read more, but you can view the fic on AO3 HERE!
Bright lights shined in her face, which a make up artist was currently patting foundation on. Mimi Tachikawa sat in a chair, curlers in her hair, phone held gingerly in her nicely manicured hands, and she tapped through it and looked through her contacts..
In three days it would be her thirtieth birthday, and she couldn’t be more excited. She was excited to reach a new milestone in her life— not that turning thirty was a particularly big one, anyways— and more than that, she was getting the finishing touches set up for her big birthday party. She rented out a fancy Italian restaurant at one of the nicest hotels in the area, one that had a beautiful view of the bay, and a bunch of her friends would be there to celebrate with her. Though the invites she sent out already were for fellow models who she worked with and became friends with since her late teens and early twenties, an old photo popping up on her phone reminded her of another group of friends she needed to invite. She tried to keep it small at first, but, well, she was Mimi! How could she keep an event like this small when she had so many friends? Especially friends.
And though she hadn’t had a chance to spend as much time with them as she wanted to for years, they were old friends and classmates who meant the world to her: Yagami Taichi, Ishida Yamato, Takenouchi Sora, Izumi Koushiro, Kido Jou, Takaishi Takeru, Yagami Hikari, Motomiya Daisuke, Inoue Miyako, Hida Iori, and Ichijouji Ken.
Twelve extra people was going to be a big ask considering she already had, what, twenty some other people going? But she couldn’t leave them out. It had been a long time since she sat down with most of them, but they were still all friends to some degree.
“Tachikawa-san? I need to apply your lipstick real quick,” the young woman who was doing her makeup told her. Mimi’s eyes flicked up to her and she smiled.
“Of course! What color?” she asked.
“It’s this,” the girl said, holding up a lipstick tube that was colored a beautiful, deep, rich burgundy.
“Oooh, that looks great! Go ahead!”
Mimi puckered her lips, and as the make-up artist started to apply the first coat, she glanced back down at her phone, adding each of them to a group chat. Once the makeup artist finished the first coat of lipstick, Mimi started rapidly firing off messages.
[Tachikawa Mimi]: Hey everyone! It’s Mimi!
[Tachikawa Mimi]: I’m having my 30th bday in a few days! And you’re all invited!
[Tachikawa Mimi]: Sorry for it being so late notice! I’ll send you all the time and location!
She sent the RSVP from her calendar, unable to stop from feeling giddy, practically bouncing in her seat. Her phone immediately buzzed like wild, and she couldn’t wait to check on it after the shoot.
The girl finished the second coat of lipstick, and Mimi looked at herself in the mirror as she then removed the curlers, letting her hair fall down in loose curls and waves.
“Is hair and makeup done?” a man’s voice called from the other end of the room.
“Yup!” the make up artist chirped back. Mimi popped up from the chair. She gave herself a once over, turning to one side, then the other, to appreciate the midnight blue evening gown she had on. Combined with the lipstick and her honey brown hair, she looked like a real stunner, if she said so herself.
“This looks great! You did an incredible job! Thank you so much,” she beamed, before heading over to the set.
20 notes · View notes
tobiasdrake · 3 months
Text
Digimon Adventure 01x19 - Nanomon of the Labyrinth / The Prisoner of the Pyramid
Previously on Digimon Adventure: The enigmatic Piccolomon sent Taichi on a mystical quest to remember how to ride a bike. He made everyone clean his house in payment.
Now we're back on the trail with only two Crests left to find!
Tumblr media
We open on our kids messing around with Etemon's Dark Network. An idea they now have to deliberate while Koushiro is already doing it.
Taichi: This? Koushiro: Yes. This is undoubtedly the network Etemon uses to track our location. Jou: Then he probably knows we're here! Mimi: EHHH!?!? Let's make a run for it! Palmon: Let's run! Let's run! Sora: Now, now. Calm down. Yamato: Even if we run from here, he'll sniff us out again sooner or later.
Valid arguments being made on both sides. :P On the one hand, this is an opportunity. On the other hand WTF GTFO. You can tell from context that the offscreen events leading up to this moment were basically just Koushiro going "Oh, hey, check that out!" and running over to it without telling anyone what he's doing.
Jou and Mimi are instantly not about it the second Koushiro explains what this is, while Sora and Yamato want to see where he's going with this.
In the dub:
Tai: What is it, Izzy? Some kind of power generator? Izzy: Even better. Etemon has hard-wired the entire desert, creating an extensive computer network. My guess: This is one of his remote data-access terminals. Tai: Great! Let's crash it! Sora: It could be a booby trap. Matt: If it is Etemon's, I say we cross the wires and mess it up good!
Something that's been interesting to take note of through the Etemon arc is the way the show anticipates kids coming in and out of it, or not remembering what's going on.
The original expects you to have followed along enough that Koushiro can just say "This is the network he tracks us with" right at the start. Over here, Izzy takes the time to explain what the Dark Network is, in case the kids watching don't remember or haven't seen previous episodes.
This means cutting into Mimi and Jou's lines, which have been cut - And, in turn, cutting those lines is another small influence on the show's tone. It gives the kids a unified front as a heroic team instead of squabbling like frightened survivors. The only voices heard are of support for Izzy messing with their nemesis - with one note of caution from Sora.
Tumblr media
Koushiro unplugs a cable from Etemon's device, plugging it into his laptop.
Tentomon: What are you doing? Koushiro: Like I thought. (An array of data representing the cables and their hubs appears on Koushiro's screen.) Koushiro: This is Etemon's network information. I might be able to find something in here! Takeru: What's that mark?
A notification has appeared in the upper left corner of Koushiro's screen, indicating that he's received email. That wasn't there when he first looked at the network, which means it came in seconds ago.
(For a kid who lamented back in the File Island sewers that he misses sending emails with his friends, Koushiro doesn't seem to get a lot of emails. He's been here for over two weeks and this is the first he's had?)
Koushiro: Email? But from who? Jou: Could it be from the enemy!? Taichi: Open it, Koushiro. Koushiro: ...oh, okay!
Koushiro pops open the email, which opens with "HELP ME!" in oversized font.
Sora: "Help Me"!? Koushiro: "If you help me, I can tell you where the Crests are hidden." Yamato: The Crests!? Taichi: Who is this guy!?
Just like that, we have a new angle of investigation to pursue. As a clever bit of subtle foreshadowing, the email is from the address, "[email protected]". Nanomon @ NanomonNetworkInternetAccess.Master.com, huh?
In the dub, the appearance of the network isn't acknowledged directly, with Izzy simply talking in roundabout terms about how he's trying to access Etemon's data.
Tentomon: What are you going to do with that? Izzy: I wonder... (An array of data representing the cables and their hubs appears on Koushiro's screen.) Izzy: It's entirely possible that our computers have compatible systems. And if they do, I can tap into Etemon's network. T.K.: Hey, you have mail. Izzy: Oh? That was quick. Who even knows I've logged on? Joe: Oh no, it's Etemon. Tai: Open it! It's probably just spam. Izzy: Right.
It's a little janky that Izzy keeps talking about how he might be able to tap into Etemon's systems while a clear visual representation of Etemon's accessed systems is shown to us. If you know what you're looking at, you can take it as him back-explaining his logic for how he already got to this point. But it could just as easily look like Random Computer Junk.
From here, the dub had to do some finagling. As part of the localization process, they've been trying to write around the fact that things are written in Japanese. They earlier redrew a message from Joe to be in English and had Patamon dismiss a Japanese note as "written in Digi-code".
For the mystery email, they replace the letter entirely with scripting language. Instead, opening the email inexplicably causes an audio file to play and exposit the message for the kids instead.
Computerized Voice: Help me! SOS! Mayday! I'm being held prisoner! Help me escape and I'll guide you to the Crests you seek! Group: Whoa! Sora: Do you think he's for real? I mean, who could it be?
They also changed the email address to www.as#4dfja9z$.com, which isn't even an email address. That's just a URL made up of random letters, numbers, and symbols. You had one job.
Tumblr media
Meanwhile, in his trailer, Etemon is furious over having technical difficulties yet again.
(Felt. The Network just came back online last episode and now he's lost the kids again. I'd be mad too.)
Etemon: What the hell is going on here!? Where are the Chosen Children!? Gazimon 1: It's no use, Etemon-sama! I don't see any malfunction on our end! Gazimon 2: But there is an abnormality occurring in the pyramid's host computer. Etemon: Nanomon.... Let's go pay him a visit. Both Gazimon: Yes, sir!
Etemon's trailer takes off towards Nanomon. We cut back to our kids searching the desert, following instructions from their mysterious email contact.
Tumblr media
Though Jou's still against this plan, Mimi's come around on it.
Jou: What are we supposed to do if this turns out to be a trap? Mimi: But he might turn out to be on our side, like Gennai-san. Tai: We can't ignore him if he needs help! Koushiro: (walking while carrying his laptop open in one hand and typing with the other) It should be somewhere around here....
As a small thing, I appreciate that Mimi calls him "Gennai-san", the most generic and neutral honorific in Japanese language. Gennai might see himself as a wise old sage shepherding these kids but you fucking earn that -sama and then we'll talk. Right now, we are equals.
In the dub, Jou's reluctance is played up into a full-blown panic attack and Mimi's response is completely different.
Joe: Ohhhh I don't like this. I don't like it one little bit. I don't like the look of it, I don't like the smell of it, I don't like the-- Mimi: Don't have a brain cramp, Joe! You're turning green around the edges. Tai: Yeah! Somebody asked for our help; We gotta at least check it out, right? Izzy: (walking while carrying his laptop open in one hand and typing with the other) According to my laptop, we should be getting close....
For once, it's Mimi having a line added or rewritten to be mean to another character. Naturally, it's Joe, the other butt of the dub's jokes.
As they walk, Sora and Takeru hold their Tags out in their hands like a radar, waiting for the telltale glowing pulse that signals a Crest nearby. Takeru suddenly gets a response.
Tumblr media
A nearby cave wall emblazoned with Takeru's Crest calls out to his Tag. The kids approach it and, as usual, the wall vanishes and forms into a Crest that slots into Takeru's Tag. Leaving behind the entrance to a cave.
Takeru: I have my Crest! Tokomon: That's great, Takeru! Yamato: I guess this wasn't a trap after all. Koushiro: He said he'll tell us where the last Crest is after we help him. Taichi: Awesome! Then let's go do that right away!
Their mystery contact is using the old "Half up front, half upon completion" standby. You know this is a good idea when you're getting paid using organized crime methods.
Since Joe hasn't suggested (twice) that this is a trap, Matt doesn't have that setup to retort to. He quips instead.
T.K.: Awesome! My own Crest! Tokomon: Congratulations! Matt: Now, T.K., don't think this means you get to stay up any later. Izzy: Sora's Crest is the only one left to find. Maybe if we rescue this guy, he can lead us to it. Tai: Well, what are we waiting for? To the rescue!
Matt is rigorously enforcing bedtime under Lord of the Flies conditions? XD I mean, honestly, that fits. I can absolutely believe that of him. Must've gotten really good at measuring time by the sun in these last two weeks, though.
While everyone's celebrating, Mimi notices the cave behind them. Its walls are inscribed with more of that Digimon World source code.
Tumblr media
Koushiro confirms that this is the programming language he's been learning.
Koushiro: There's no mistake! These are the same characters I've seen before in Andromon's city and Kentarumon's ruins! The only difference is this symbol....
Koushiro brushes out a character from the code with his hand, causing the cave to suddenly be illuminated with no clear light source.
(I love how this directly follows up on his experiment in Andromon's factory, turning the power on and off.)
Koushiro: Just as I thought. In here, energy is produced based on a program. Mimi: What do you mean? Koushiro: For instance, by rewriting the program written on this wall here, I can turn the light on or off. I think if I do this....
Koushiro messes with the coding a little more, causing a visible map to suddenly appear suspended in the air as if it were a projected hologram.
Koushiro: That is a map of our surrounding area. Jou: That can't be.... How can you do stuff like that just by using a program written on a wall? It's not like we're in a computer. Koushiro: (gravely serious) You don't know that. Group: Eh!? Koushiro: I've been thinking that this place, this entire world could be a place where data and programs take physical form. Yamato: I remember you talking about that earlier. Sora: If this is a data world, does that mean us too? Koushiro: Yes. We exist as data, without any real substance. Taichi: No real substance? Like, we don't have flesh and blood? Mimi: Something like yurei (ghosts)? Koushiro: S-Something like that. Yamato: Where are our real selves? Koushiro: Maybe... Back at the campgrounds. The Digimon are "Digital Monsters" in the truest sense. They're lifeforms shaped out of data. Takeru: Then are we inside something like a video game? Koushiro: It's not that simple. Yamato: Could the person who sent the email be made of data too? Koushiro: I don't know....
This is a massive revelation that Koushiro's laying out for everyone. They all missed out on his factory experiment and only Mimi was with him at the ruins, but now he's able to lay out everything he's learned and theorized since he started playing around with the Digimon World's source code.
I've chosen to leave yurei up there because this is our third encounter with spookers and our third word choice, and I wanted that distinction clear. Bakemono, obake, and yurei are all translatable to "ghost" but have different meanings and usages. I called out the previous uses of bakemono and obake; Here, Mimi says yurei which is actually the common idea of a spirit lingering beyond the grave.
The dub makes it seem like Izzy's just figuring this all out for the first time, which is an odd choice.
Izzy: See these hieroglyphs? Look familiar? This is definitely the same writing we've come across before. ...wait a second, this is a new one. (Izzy rubs out the symbol, making the light turn on) Izzy: Prodigious! This is amazing! Do you know what I'm thinking...? Mimi: I've got a feeling you're going to tell us. Izzy: Well, you see, it's quite possible that these hieroglyphs are not a form of writing at all! But rather a code; Computer code! If you change a piece of the code, then you change the program. (Izzy brings up the map) Izzy: Like that, for example! Joe: Wait. Back up. Are you telling us that this whole place is some kind of giant computer game and that none of it is really real!? Izzy: Ohhh, it's real alright! Joe: Huh!? Izzy: Y'know, I'm thinking that everything we see and everybody we meet in this place are actually pieces of data or programming given physical form. Matt: Now, wait a second. If you're right and this whole thing is one big computer, then how did we get here? Did we squeeze in through the floppy drive? Izzy: (chuckle) No, we're just kilobytes of data too. I mean, the versions of us that are in here. Tai: If I'm just data, why do I still feel like I'm flesh and blood? Mimi: And I still have to go to the little girls' room. Izzy: You don't really. You just think you have to. Mimi: Oh. Well, I hope you're right 'cause-- Matt: Where's the real us? Our flesh and blood bodies? Izzy: I dunno. I suppose we're back at the campgrounds still. Yeah, we're alive back there! We exist simultaneously with our other selves. We're in a parallel digital universe like the Digimon. T.K.: Does that mean I don't have to worry about brushing my teeth anymore? Izzy: We've got bigger things to worry about now, T.K. Matt: Although do us all a favor and don't stop brushing. Izzy: Yeah, please!
The line "Oh, it's real, alright!" doesn't go half as hard as Koushiro's stone-faced "Wakari masen yo." This makes me sad but doesn't really hurt the scene.
They took out Mimi's question about ghosts and Izzy's noncommital and kinda spooked answer, replacing it with Izzy telling everyone to stop pooping. A choice, to be sure.
Instead of explaining that the Digimon are living beings made of code, Izzy suggests that the kids' physical bodies are actually consciously living separate lives independently from our version of them. As existentially terrifying as it is wildly off-base. In his defense, it's only a theory, and it's a reasonable assumption based on the theorizing he's done.
I desperately want to know what T.K.'s using to brush his teeth, though. Matt. Matt, I have questions. I have serious questions, Matt.
Tumblr media
Suddenly, Koushiro notices something significant.
Koushiro: EH!? Taichi: What's wrong? Koushiro: P-Please wait a minute! I'll make this easier for you guys to understand.
Typing at his computer, Koushiro writes some code of his own to alter the shape of the displayed map. It slowly morphs into a levitating projection of a globe, still displaying the Digimon World.
Koushiro: I've adjusted it so that you can see the entire globe. This includes the program I saw in Andromon's city. If I combine that with the map Gennai-san sent me.... Yamato: It's a big world. It looks about the size of Earth. Koushiro: Actually, it's exactly the same. Yamato: Huh? Koushiro: (pulls up the email) Look at this email address. The computer that sent this email is located.... (A blinking dot appears on the globe map) Koushiro: Right there. It's the same place as an internet homepage I used to visit often! Taichi: What does that mean? Sora: Are you saying that the email came from our world? Koushiro: Not only that.
Koushiro pulls up a second projection, showing the Earth. Both globes are then covered in lines.
Koushiro: Over on the right is the Earth that we know. If you put our computer network system on top of this world.... (The two globes merge into each other and fade away, leaving only the network lines perfectly matched to each other) Sora: The shape of the networks is exactly the same! Mimi: Ehh? What does this all mean? Koushiro: This is a world made only of data. The same as you'd find in a video game or computer. But that doesn't mean we're in a place far away from Earth. We're inside the computer network itself! In other words, Digimon World is the same place as our world! You could even call it the Earth's shadow! Jou: This... This was Earth!?!?
Even more massive revelations laid out by Koushiro. We didn't Isekai to a far off fantasy world, but to the internet itself. A reflection of the world born of the data flowing through every system on Earth.
In the dub:
Izzy: Hey! Wait a second, I've got an idea. Let me see, if I can just crack this program.... Mimi: Uh-oh. He's in computer dork mode. This could take a while. (Izzy forms the map into a globe) Izzy: I've called up a holographic map of the Digi-World. You can see how physically similar it is to the planet Earth. In fact, there are a lot of parallels with our world Matt: (sarcastic) That's terrific, Izzy. Great time for a geography lesson. Izzy: Pay attention and you might learn something. Matt: Yeah? Izzy: (pulls up the email) If I enter the email address of the person who contacted us, I can find his location in the Digi-World here. (A blinking dot appears on the globe map) Izzy: Now look: That email address is from a web domain in our world. I've logged onto it a thousand times. Tai: Is there a point to this somewhere!? Sora: Is the person who sent the message in our world or the Digi-World? Izzy: That's just the thing: He's in both. (Izzy pulls up the two globe projections) Izzy: Look. The Digi-World's on the left. The world we know is on the right. And if I overlay them like so.... (The two globes merge into each other and fade away, leaving only the unexplained lattice lines perfectly matched to each other) Sora: The white lines match up perfectly! What does it mean? Mimi: (crying) Reminds me of the balls of yarn my kitty used to play with! Izzy: That's the world's digital network. Through those lines, every computer on Earth is connected to every other computer. The Digi-World is the physical embodiment of the data passing through them! Guys, we're seeing something nobody else has ever seen, that nobody even knows about: The digital shadow world that exists alongside our own. And they're not just parallel, they're physically linked so that anything we do here will have effects on the other. Joe: For real? Intense.
Everyone's weirdly hostile to Izzy's exposition in the dub. He's laying down intense revelations about the nature of the world they've been trapped in, and they're like, "Ugh, here he goes ranting about nothing again, CAN WE MOVE ON YET!?"
Also, I think Mimi's cat is dead. Absolutely nobody is interested in engaging that out-of-the-blue conversation topic.
That aside, this section of exposition goes really well. We lose Koushiro signaling to continuity by referencing Gennai's map and Andromon, but we gain Mimi signaling to continuity by referencing Kentarumon's ruins so there's that. However, Izzy's infodump itself, his explanation of what Digi-World is and what it means to be here, is solid.
Tumblr media
So now we know the true nature of the world we're in. Question is, where do we go from here?
Takeru: So, can we go home soon, then? Yamato: No. It isn't like that. We're close, but we're not on Earth itself. Jou: (distraught) Now I'm more confused than ever on what to do. Gomamon: What do you mean by that? You've got me! Piyomon: Sora, I didn't understand most of that but does this mean you're leaving? Sora: No, it looks like we still have stuff to do so we can't leave until that's done. Taichi: Yeah! Anyways, we should save the guy who sent that email first. Where do we go from here, Koushiro? Koushiro: Well, if I run this program that was attached to the email.... Agumon: The wall! Gabumon: I can see outside!
The projection of the world vanishes. The wall behind it vanishes with it, creating an opening to the outside.
In the dub:
Izzy: And here's the coolest part: Wherever this person is in the Digi-World, I think I can take us there just by entering his email address and clicking on it. Like accessing a web page! T.K.: Hey! All this techno-talk is making my head spinny! Matt: Computer guys can never just do something, T.K. They gotta spend all day telling you how they'll do it! Joe: This is depressing. Even when I'm computer data, I have allergies! Gomamon: Look on the bright side, Joe! If you weren't here, you never would have met me! Biyomon: Sora! Does this mean that we don't exist? That we're really not friends? Sora: Of course not! This may be a make-believe world but that doesn't mean our friendship isn't real. Truthfully, I don't get it, but it sounds right! Tai: Oh well. Wherever we are, we're here, so let's figure out what we're gonna do next. Come on, Brainiac, what are you waiting for!? Izzy: Okay, okay! All I have to do is click on the guy's email address and then bingo. Mimi: I hate bingo! It's so confusing with all those different letters and numbers and--Hey, look! A door!
Entirely different. The main plot shift here is that Izzy devises a plan to use the Digital World's nature to shortcut the team to their destination, rather than using a program their contact sent them.
Both versions have their advantages; The former plays into Izzy's brilliance and allows him to turn all this information he's uncovered into tangible results, while the latter reveals that our mystery contact is as knowledgeable about all this as Koushiro, capable of manipulating the environment through code. The dub version is a good hype moment for Izzy, while the original is a stepping stone in developing the plot.
But the rest of the dialogue is just more dunking on The Nerd and one-off gags from Mimi and Joe. This riffing lets them talk over the part where the kids express the anxieties of being alone and lost in this hostile world and its expectations of them.
Tumblr media
Taichi: What just happened!? Koushiro: The sender should be outside here. Sora: Eh!? He was that close to us!? Koushiro: No. Apparently, that program serves to warp and connect airspace.
The kids emerge in another part of the desert, bearing no resemblance to the craggy valley they came from. Per Koushiro, they've effectively created a shortcut between two spaces in the Digimon World.
(It's basically the same thing as what happened in the cave Taichi got his Crest from, when they escaped Etemon by slipping through a back wall to a new geographical region far away from the Koromon Village he was attacking.)
In the dub:
Tai: Alright! Last one through's a rotten egg! Izzy: Our electronic pen pal is right out there! Sora: Or it could be a trap! Izzy: No way! We're just bits of data so I uploaded us as file attachments a-- Sora: (annoyed) Okay, I believe you!
Apparently this can't be a trap anymore because we're all file attachments. I have no idea what that's even supposed to mean.
We also don't get the explanation that the two caves are now linked in space, tying back to the Crest cave, because that's not what happened in the dub. Izzy just Fast Travelled us.
Outside the cave, the kids get a glimpse of their destination.
Tumblr media
This is where their mystery contact sent the email from. Oh, and look! We've seen that thing in the distance before, haven't we? Taichi whips out his mini telescope to confirm: It's Etemon's trailer. He's here too.
Etemon emerges from the trailer and furiously marches into the pyramid, uttering:
Etemon: I'm here to punish you, Nanomon.
Looks like we know who our contact is. (If that brief scene of Etemon earlier didn't already make it apparent.)
In the dub:
Etemon: That's it! It's the dumpster for you, Datamon, you old pile of junk! Joe: Uhh, I think I left the water running back in the Sphinx.
In the dub, Nanomon is called Datamon. Not quite sure why they changed it; Probably assumed American kids wouldn't understand what nanotech is to get the reference.
With both their mystery contact and Etemon here, the kids do the only responsible thing!
Tumblr media
They fucking leave, of course. Giving Etemon a wide berth for whatever the hell he's doing and making camp back in the valley side of their space wormhole. Plan is to infiltrate the pyramid tomorrow morning, bright and early.
We join Taichi that night as his shift ends and Sora comes to relieve him. It's not clear when exactly they stopped being chauvinistic about the nightwatch shifts but it's a solid improvement.
Sora: Taichi.... Piyomon: It's time to change shifts. Agumon: Thank goodness! I was starting to get tired. Good night! (Agumon heads inside) Taichi: Me too. I should get some sleep to prepare for tomorrow. Sora: I'm sorry, Taichi. Taichi: Huh? Sora: Ultimately, you're going to all this trouble just for my Crest and to help the sender of that email, right? Taichi: That's how it turned out. Anyway, I'm not the sort of guy who turns his back on someone in trouble! Sora: ... Taichi: You're not acting like yourself, Sora. Sora: Eh? Taichi: If it was your Crest we found first, would you be as bothered by this as you are now? Sora: ...I doubt I even would have thought about it. Taichi: See? Besides, we're just data now. No point in thinking too seriously about all this! Sora: If we return to our world, do you think we'll remember our experiences and the Digimon we met in this world? Taichi: Who knows?
Sora's having "Am I a burden?" anxieties right now. By her own admission, she would storm that pyramid without a second's thought if it was for someone else's Crest, but the fact that it's hers gives her pause.
Taichi, meanwhile, expresses an alarming takeaway from Koushiro's infodump.
In the dub:
Sora: Okay, Tai. You're relieved. Biyomon: It's our turn to stand watch! Agumon: Finally! It's not much fun watching a bunch of rocks. (yawn) Good night! (Agumon heads inside) Taichi: I thought being in a computer cartoon adventure would be more fun than this! Sora: A-About tomorrow.... Tai: What? Sora: I'm worried. That Etemon is bad news. Is it really worth it just to find my crest? Tai: Sure! Don't worry, Sora! Piece of cake! I'm looking forward to spinning that ugly monkey around by his tail! Sora: ... Tai: Hey, come on! Snap out of it! Sora: Huh? Tai: Look, if it was my Crest or T.K.'s or anyone else's we were going after, you know you wouldn't hesitate for a second. Sora: Oh... Maybe you're right. It's just, after what Izzy said-- Tai: What? You mean all that stuff about us being nothing but little kilobytes in the great computer program of life? Sora: It just makes us seem so small and insignificant. Like nothing we do really matters. Tai: Of course it matters. We can't take the chance that it doesn't.
Sora's anxieties here are presented straight, but everything else around it is changed. The aside about Taichi wanting to save the email sender is changed to Tai wanting to kick Etemon's ass and show how cool he is. This is probably meant to convey Tai's overconfidence, since they also cut Taichi's alarming "Why take it seriously if we're just data" bit.
That part and Sora worrying about losing memory of the Digimon are removed. In their place, we get an out-of-place existentialist debate about purpose and destiny in a nihilistic universe, that seems entirely unrelated to anything Izzy said back there.
Tumblr media
The next morning, we find Sora clarifying details with Koushiro hours after Taichi's gone to bed.
Koushiro: Even though I said we're digitized, our data is bulky and elaborate. Considering the large amount of data that's been shifted into this world, it would probably cause feedback when we return to our own world. Sora: So, in other words, we should still treat ourselves as if we have real bodies, right? Koushiro: It's best to think that way. Sora: Right. Just as I thought, we shouldn't act irresponsibly just because we're data now. Taichi: (from the passage) HEY!!! WHAT ARE YOU DOING OVER THERE!?!? HURRY UP!!! Sora: Coming!
This is a critical contrast of the idea Taichi expressed earlier. He suggested we're just data so nothing matters, and now Koushiro is saying NO NO NO EVERYTHING MATTERS. Unfortunately, Taichi isn't the one hearing it.
In the dub:
Izzy: Remember, there's one thing that's important to keep in mind: This is more than a parallel universe. It's a shadow world physically linked to our own. Anything that happens here in the Digi-World can have a direct effect on things in the other. Sora: Then... the same thing goes for us, right? We're shadow versions of our other selves! Izzy: Right! Exact computer copies. Sora: So whatever happens, we have to be just as careful as if we were still our physical selves. Tai: HEY!!! Sometime this year! Man, you guys talk more than my mom's book club! CAN WE GO!?!? Sora: Alright!
The important context gets across: Do not behave recklessly because what happens to us here in Digi-World has real consequences. Both versions, however, are vastly different in how they lay it out.
Honestly, I think the dub's explanation is a lot more digestible. "Physically linked; What happens to one happens to the other" is a pretty common concept in virtual-world storytelling. If you cut off my arm in the simulation then my arm somehow falls off in the real world. Got it.
But in the original it's like. Okay. So. My arm isn't here, it's in the real world. I just have the idea of having an arm transformed into data and then made physical here. So if you cut off my arm... like, my real arm isn't here, so it should be fine. What happens then when it feeds back into my brain? My physical arm is still there. Do I lose the ability to move my arm or something because the data of Having Arms had the data of Severance coded into it?
As a stake, "There would be feedback because of the size of our data packets" is a lot harder to wrap my head around than "What happens in the video game HAPPENS IN REAL LIFE."
But either way, the point is made: The stakes are real so do not be stupid and reckless. Now let's go watch Taichi be stupid and reckless.
Tumblr media
Bright and early in the mouth of the sphinx, the kids plan their rescue mission.
Koushiro: There's a hidden passageway in the pyramid that's normally not visible. Jou: Our first and foremost priority is to save the sender and get Sora-kun's Crest. DO NOT get caught up in any unnecessary fighting! Taichi: Yeah, yeah, I know.... Takeru: I wanted to go too.... Yamato: Don't be selfish, Takeru. Mimi: Be careful, everyone. Palmon: Keep steady. Gomamon: I'll be fine, no worries! Taichi: Yeah! Back soon! (leaps from the sphinx's mouth)
The team splits up from here. Taichi, Sora, Jou, and Koushiro leave on the field mission while Yamato hangs back with Mimi and Takeru.
(This is a well-reasoned division of duties. Jou-senpai and the two athletes are going into the intensely perilous infiltration, while Big Brother Yamato hangs back to watch over the younger kids.
With exception of Koushiro, who's on the field team because his laptop is critical to this operation and we don't have a means of communicating long-range. Though Koushiro is also an athlete, which is easy to forget. This is literally Senpai and the Soccer Team infiltrating the pyramid. Either way, it shows the kids are starting to think tactically.)
In the dub:
Izzy: I got into the program and found the most direct route to the center of the pyramid. Joe: Okay now, let's get this straight: We're only going in there to find this guy and get Sora her Crest, right? Tai: (dismissive) Right, right.... Joe: That means no fights or adventures or dangerous stuff! Tai: You whimper more than my puppy! Matt, Mimi, T.K., stay here and stand guard. Let's go in! Mimi: If you really don't think you need me.... Palmon: I miss you guys already! Gomamon: We'll be back in time to eat! Tai: Or get eaten!
The dub reframes the whole conversation to put Tai in the leadership role instead of Joe. This is tragic for Joe, since stepping up and taking charge of the op here is a great moment for Jou-senpai.
It also seems that they did not plan this in advance, as Dub Leader Tai makes the decision to separate Matt, T.K., and Mimi out from the group right here in the spur of the moment.
It does, however, do a great job of conveying Tai's problematic cockiness. He is appropriately insufferable in this scene.
Tumblr media
The forward team makes their way to the pyramid, following Koushiro's instructions. Once they arrive, however, he needs a moment to locate their secret entrance.
Koushiro: The hidden passage should be up ahead on this side of the pyramid.
Taichi scouts around the corner and spots Etemon emerging from the main entrance. Etemon yawns and stretches, having seemingly just woken up.
Taichi: (near-silent gasp, hides around corner) Agumon: (whisper) What's wrong, Taichi? Taichi: (whisper) It's Etemon! Jou: GEH!!!
Jou claps his hands over his mouth but it's too late. Etemon heard that. He turns, approaching the corner.
Etemon: Who's there?
The kids stand flat against the side of the pyramid, maximizing how long they have until he spots them. But it's only a matter of time.
Piyomon: What do we do, Sora!? Sora: Don't talk!
Finally, Etemon rounds the corner to... absolutely nothing.
Etemon: Am I hearing things?
Tumblr media
Taichi then makes mocking gestures at Etemon through the secret entrance Koushiro found. Because he once again thinks he's invincible right now. It's SkullGreymon all over again.
Etemon: Huh? I don't know why, but I feel like someone was mocking me. That's so irritating! I'll go sing a song or something to amuse myself.
Etemon storms off to go jam out his feelings while Taichi has a good chuckle to himself.
In the dub, as they make their way to the team, Tai's given a fairly basic command line to reinforce his leadership in this version.
Tai: Okay! Keep together!
Then the group approaches the pyramid.
Izzy: There's a hidden backdoor to the program you can use to get in. (The kids approach the pyramid) Izzy: That's funny. It should be right here. Etemon: Where's my friend banana sandwich!? (Taichi scouts around the corner) Etemon: You know I like to eat after my nap! Tai: WAUGH!!! (hides around corner) Joe: (whispers) Tai, what is it? Tai: (whispers) It's Etemon! Joe: WAUGH!!! Etemon: (noticing) Eh? Who's there? Biyomon: What do we do now? Sora: Quiet, Biyomon.
Here, the dub uses Etemon's line to alert Tai to check around the corner, rather than him just scouting out to watch the team's back. That's a lateral change, I think. Both versions work.
(Also, I love Izzy describing the hidden entrance as "a backdoor to the program". That's clever.)
Joe's still the one who reflexively shrieks and gives the game away, but I don't know how Etemon didn't hear Tai's equally loud yelp a moment before.
Then, during Tai's teasing, he calls out to Etemon too.
Etemon: (rounds corner) BOO!!! (nobody's there) Great, now I'm hearing things. Tai: YOO-HOO!!! Etemon: Huh? (no one's there) Y'know, I'm gonna have to have this place completely exterminated! Because something's startin' to bug me!
Not the best quip they've written. Etemon's forced to set up a non-sequitur just so he can then make a pun out of it. But the line it replaces wasn't exactly super critical or comedy gold either so, again, lateral change.
Tumblr media
As soon as Taichi's back inside the passage, Sora lays into him for that.
Taichi: He's gone. We're fine now. Sora: Don't act stupid! What if he caught us!? Taichi: It's fine, we're fine!
Meanwhile, Senpai has his eye on the ball and is ready to move ahead.
Jou: So this is the hidden passageway. Koushiro: It looks like data from the outside, but really there's nothing in it. Agumon: Let me see! (slaps the wall, hurting his hand) Koushiro: Everything besides the passage is comprised of data, so please be careful.
Agumon fundamentally misunderstood what Izzy was saying.
In the dub:
Joe: Well, now that we're in, what do we do? Izzy: I guess next we try to find a way through these walls. The trick is finding the weak points! Agumon: Hey, I found one! (slaps the wall, hurting his hand) Izzy: As I was saying, Agumon, the trick is finding the weak points. Let's use heads, not hands.
That's not even remotely what Koushiro said but it does connect to what they're doing. As they explore the passage, they find other fake walls leading to other parts of the pyramid. Those are the "weak points" that Izzy's referring to.
Tumblr media
Taichi: We can see outside from here. Koushiro: Yes. But no one can see us from the other side. Agumon: Ah! Gazimon!
A pair of Gazimon pass by in front of the "wall", noticing nothing and continuing about their business.
Sora: Keep quiet....
Tumblr media
Taichi does not keep quiet. Emerging from the fake wall, he kicks a Gazimon in the back and then ducks back inside.
Gazimon 1: HEY!!! WHAT THE HELL WAS THAT!?!? Gazimon 2: What? Gazimon 1: DON'T PLAY DUMB!!! YOU JUST KICKED ME!!! Gazimon 2: No, I didn't. Gazimon 1: LIAR!!!
This erupts into a fistfight, as the kicked Gazimon lunges for the other in retaliation. All while Taichi laughs quietly behind the hidden door.
In the dub, for reasons that can only imply some sort of problem with actor availability and redubbing or something, Wendee Lee (Takeru) suddenly voices Tai for exactly one line. It's incredibly noticeable.
Takeru: (possessing Taichi's body and speaking through his lips) Hey, check it out! You can see right through this wall! Izzy: Yeah, here it is. It's another breach in the system's security. Agumon: LOOK!!! GAZIMON!!! (Gazimon pass by) Sora: Be still.... (Tai runs out and kicks one) Gazimon 1: HEY!!! WHAT'S THE BIG IDEA!?!? Gazimon 2: What do you mean? Gazimon 1: Don't give me that! Just 'cause the boss likes ME! Gazimon 2: Have you gone nuts!? Gazimon 1: NUTS TO YOU!!!
For the good, I'm still enjoying the hidden passage being a "breach in system security". Also, the fight Tai provokes inadvertently revealing bad blood and workplace drama between the Gazimon got me. XD Fantastic addition.
For the bad... whatever the hell is going on with Tai's voice, of course. Did they forget to dub a line and need to make due? What's happening here? But also, Sora's line change from "Be quiet" to "Be still" doesn't make sense. They can't see us. We're not hiding from a Jurassic Park T-Rex, we're trying not to be overheard.
Tumblr media
Sora, of course, is livid after that stunt.
(Reasonably so. By now, Taichi would definitely have been vote-kicked out of the party if that was an option. He is going to get us killed.)
Sora: WHY DID YOU DO THAT!?!? WHAT IF THEY FOUND OUT THAT WAS YOU!?!? Jou: Sora-kun, keep your voice down. Taichi: You worry too much, Sora. We're just data, y'know. Sora: TAICHI, YOU-- Jou: Sora-kun! Shhhh! Shhhhhh!!!
I really feel for Sora here. I get where Jou's coming from. See above, re: we're invisible, not inaudible. Screams echoing down the halls can easily give us away.
But so can these stunts Taichi keeps pulling. It's unfair for Jou to only be criticizing her and not Taichi. Rolled a 4 on his Senpai Check here.
In the dub:
Sora: You could have gotten us all captured back there, Tai! YOU'RE SO IMMATURE!!! Joe: Could you yell a little quieter, huh? Tai: Geeze, Sora. Relax, kid. Get a grip. Who elected you the Queen of the Fun Police? Sora: Yes, this is tons of fun. And getting caught will be a blast! Joe: Couldn't you just pass notes? It's much quieter.
As before, Joe comes off weaker in this scene because he's not team leader, and can only whine helplessly instead of shutting Sora down. His second line of whining, however, is hilarious. XD
The biggest shift here is Tai's line, which makes him look even more immature. They also cut his rationale for his behavior, but only to move it to the next scene. It's still here and still motivating him.
Tumblr media
The kids next come upon a deadly electric fence barring the path forward.
Tentomon: Is there electricity running through this, or is it juts me? Koushiro: The section that acts as a hidden entryway should only have harmless data in it. Jou: So that means everything else really is electrified? Gomamon: (teasing) You look scared, Jou. Jou: Of course I am! Taichi: You're too timid, Jou. So, where's the entrance? Koushiro: It's... There. (points) Taichi: Okay.
Without an ounce of hesitation, Taichi walks straight through the spot Koushiro pointed out on the deadly barrier, passing through harmlessly while everyone gasps in surprise. After a moment, he peeks back out and urges the rest to hurry up. One by one, they follow after while Sora visibly remains steaming.
Sora says nothing in this entire scene. She is biting her tongue because Senpai reprimanded her. But her fury is plain on her face every time she's in the shot.
In the dub:
Tentomon: This looks nasty! We don't have to go through here, do we? Izzy: This firewall is the system's last line of defense, but htere appears to be only one safe way through it. Joe: And if we pick the wrong way, they'll scoop us up with a spatula! Gomamon: (teasing) You're not scared, are you, Joe? Joe: Maybe I am, a little! Tai: Relax, it's not like we're human or anything. We're just bunches of kilobytes, remember? Izzy: The waypoint should be... Here. (points) Tai: Cool!
Here's where Tai's "No consequences because we're data" rationale comes in for the dub. We also have Izzy referring to the barrier as a firewall. Which is the implication in the original but I still like hearing him refer to everything here by computer terminology.
No indication is made in the dub for why Sora is suddenly silent but fuming. She just. Is.
The kids emerge at their destination: A chamber deep inside the pyramid with a glass cage containing their target.
Tumblr media
Koushiro: Here's our destination. Taichi: Here? Tentomon: That's... I'm pretty sure that's Nanomon. He's a very smart Digimon.
The dub takes this as an opportunity for some quipping.
Izzy: This is the host computer. Tai: Yowza. Do you think it comes with a CD-ROM drive or is that optional? Sora: And what do you suppose that thing is? Tentomon: I've heard of him. His name's Datamon.
They also add Sora's voice to this scene, but that's fine. She hadn't come out of the wall yet last we saw but she'll be there after the rundown, and talking again now that we're here. It's just Taichi's shittiness that she's being silent about.
From here, the narrator delivers his rundown. Nanomon is a Perfect-stage Virus-type Machine Digimon, so we'd better be sure we know what we're doing before we let him out.
Narrator: Nanomon. No matter what kind of computer system he's up against, he can rebuild it. A Perfect-Stage Machine Digimon.
Apparently he can't rebuild his way out of this cage, though.
In the dub, Tentomon does the honors.
Tentomon: A super-intelligent robotic Digimon. He disappeared without a trace some time ago. Nobody ever knew what happened to him.
It's weird that Tentomon would know that when he's never left File Island, but it was also weird in the original when he recognized Nanomon to begin with. Tentomon knows about Nanomon/Datamon somehow. It is what it is.
I think we've pretty much obsoleted "I don't know anything outside File Island" as a plot point.
Tumblr media
From here, Nanomon makes contact.
Sora: Could that Digimon be the one who sent the email? Nanomon: (appears on Koushiro's computer screen) That's exactly right, Chosen Children!
Koushiro glances over at Nanomon's physical body and sees that his right eye, the one that's an LED light, has a red light blinking in it.
Koushiro: I see. He's sending data directly through my IR port! Nanomon: I once fought Etemon long ago and was crushed. My broken body was sealed away here. On top of having my ability to formulate stolen, I was tasked with supervising Etemon's network system. One day, I recovered my memories and began to slowly repair my body in a way Etemon wouldn't notice. Soon I learned about everything happening outside, and I was able to meddle with that information. However, I need a considerable amount of outside help to release me from confinement. Sora: Do you really know where my Crest is? Nanomon: Of course! I know many things that Etemon himself has not even heard of. Jou: Can we really trust him? Nanomon: You and I share a common enemy in Etemon. You can trust me. Taichi: Okay then, what should we do? Nanomon: Follow my instructions.
This is Nanomon's critical importance to the story: He is the mainframe through which the Dark Network runs. In a sense, he is the Network.
Nanomon transmits directions for the kids to follow. Taichi pulls down a lever to open an access panel while Koushiro inputs the combination. All that's left to do is for Taichi to release the leaver; However, suddenly they hear a voice calling out.
Tumblr media
Etemon: Hold it! Taichi: Etemon! Etemon: Of course we'd catch you after everything you've done. We have surveillance cameras here, y'know!
The two Gazimon enter the room, both with bandaged faces.
Gazimon 1: How dare you-- Gazimon 2: --do that to us! Taichi: Whoops, they found out! Jou: BECAUSE OF YOU, TAICHI!!!
Correction: Taichi isn't going to get us killed. Taichi has already gotten us killed. My mistake. At least Jou finally berates Taichi for the shit he's been pulling instead of staying quiet. Vindication for Sora-kun.
Etemon then turns to momentarily adress Nanomon.
Etemon: My network's been acting funny ever since the children landed on this continent. That was you, wasn't it? Nanomon: I finished my maintenance just before the arrived.
Remember that weird glitch, where Etemon's data didn't update and sent him to some beach while they disembarked on a cliffside instead? Remember how SkullGreymon trashing the Coliseum somehow brought down the entire network all across the desert? All Nanomon. He's been sabotaging Etemon from the get-go.
In the dub:
Etemon: Hold it! Tai: Etemon! Etemon: I've been watchin' you on surveillance cameras and you're an annoying little brat, y'know that? (The Gazimon enter) Gazimon 1: Punk kid! Gazimon 2: Troublemaker! Tai: Hey, it's been my pleasure! Joe: Great, why don't you just call him ugly too. Etemon: And all this time, I thought it was those snot-nosed kids that were causing my network to go on the fritz! But it was you, wasn't it, you digital dumpster!? Datamon: Well, in a word: Yes.
Again, Joe is forced to whine instead of snap at Tai because he's not in the position of authority here.
I like Etemon calling Datamon a "digital dumpster", however. Rolls off the tongue nicely, especially in his Elvis voice.
After confirming this one point with Nanomon, the moment we've all been desperately trying to stave off finally arrives. Letting out a hideous battle cry, Etemon suddenly roars across the room to tear these children in half.
Tumblr media
We are absolutely no match for the Strongest in Konoyo. Self-proclaimed or not.
The kids keep nothing in reserve here. Greymon, Kabuterimon, Birdramon, and Ikkakumon all power up at once to hold back Etemon. They achieve nothing. Etemon lays Greymon out with a single punch. He grabs Ikkakumon by the horn, flinging him into Kabuterimon to take them both out.
Realizing they're going to die in seconds, Taichi yanks that lever up to finish opening Nanomon's prison. Nanomon goes straight to action, seizing control of the prison mechanism. The triangular panes of glass that had formed his prison now under his control, he sends them all at Etemon at once.
Tumblr media
Nanomon: See for yourself how strong you've made this prison!!!
Two panes strike the Gazimon, crushing them against the wall. One hits Birdramon, crushing her so severely she loses her form and reverts to Piyomon. And one goes for Etemon, which breaks easily against his unstoppable fist.
(I don't think Etemon is impressed by how strong he made that prison, Nanomon. What else you got?)
Taichi whirls on Nanomon for his friendly fire incident.
Taichi: What are you doing!? Nanomon: You are of no further use! Etemon: Hehehehehe.... That's the kind of guy Nanomon is. Nanomon: Enough blabber, PLUG BOMB!!!
The dub hits the same points but it's worth noting that Datamon's attitude is different.
Tai: HEY!!! We set you free! Datamon: And I do appreciate that, you chumps! Etemon: Dumb kids. Hehehehe, you'd think by now they'd learn to trust no one! Datamon: Trust me: This will hurt!
Datamon has the attitude of a maniacal fiend who feels he has the upper hand, while Nanomon is just screaming blood fury. Nanomon hit Birdramon because he's attacking indiscriminately. She was caught in crossfire. Nanomon will kill as many people as he has to as long as Etemon is one of them. While Datamon... may have done that on purpose? Unclear.
Nanomon unloads his signature attack Plug Bomb, opening his fingers into separate guns to fire little red bullet bees. Etemon counters with Dark Spirits, a fireball made of concentrated darkness energy.
Tumblr media
The dub doesn't give Datamon's attack a name. Etemon confusingly calls his "Dark Network!" even though that's. Not. What. That means. Okay.
Dark Spirits and Plug Bomb explode on impact, creating a blast that tears up through the desert sands outside. We cut briefly to Gabumon watching for the others' return from the sphinx's mouth.
Gabumon: YAMATO, LOOK!!! (Yamato and Mimi peek around Gabumon) Yamato: Huh? THEY'RE FIGHTING!!! Mimi: EH!?!?
It's at this point in the dub that we have the most baffling flub I have seen in the show yet. The lines are basically the same, but Mimi's reaction is cut and Matt's more sarcastic.
Gabumon: Uh-oh! Matt! Matt: Huh? Well, so much for no fighting!
However, overlaid on top of this dialogue are the voices of two random actual children, not any performer in the show. speaking at the same time as Gabumon and Matt in louder voices that drown them out.
Random Child 1: Biyomon, over here! Random Child 2: I'm coming!
What the actual fuck. At least now we have confirmation that they didn't bother doing second takes of anything. They weren't even giving the show a once-over before sending it to publication. Anybody would have caught this.
Was this localization done on a budget of $5? That's not a sarcastic question. It was an anime dub for a FOX cartoon show in the 90's. It's a very strong possibility that the project wasn't given much attention or funding. I'm not trying to be mean here. But. Wow. I had to google the Random Child Voices to make sure I wasn't hallucinating.
Back inside the pyramid, we find Sora at Piyomon's side trying to rouse her. Piyomon is unconscious and unmoving on the floor.
Tumblr media
Suddenly Nanomon slams into the wall overhead and crumples to the floor, tossed by Etemon.
Etemon: I win again. Nanomon: The only thing you're good at is fighting, you monkey!
Thinking quickly, Nanomon suddenly grabs Sora and Piyomon.
Nanomon: Once I use their true power, your defeat is certain!
Nanomon vanishes through an open door, dragging Sora and Piyomon with him. Etemon tries to pursue, but Greymon, Ikkakumon, and Kabuterimon form a barrier in front of him.
The kids slip through the door to chase down Nanomon while Etemon's busy ripping through their Digimon. The dub cuts a shot, just after they leave, of Greymon getting bodied again. Guess they wanted it to look more hopeful.
Tumblr media
The kids find the firewall standing in their way.
Sora: (echoing voice) TAICHI!!! Koushiro: He escaped through the hidden passageway! Taichi: I'm sure... That spot is where her voice came from.
Taichi starts to approach where he thinks the passage is, but Koushiro calls after him.
Koushiro: Wait! I have to verify the location first! Taichi: We're just data, remember? If I make a mistake, I'll just start over. Koushiro: PLEASE STOP!!! Jou: Got him!
Jou grabs Taichi suddenly from behind, restraining him from touching the firewall.
Taichi: Hey! Let go! Sora is-- Koushiro: Taichi-san, do you think that, just because you're data, you're free to act like a video game character!? Taichi: (stops resisting) ...am I wrong? Koushiro: COMPLETELY!!! It's exactly the same as if we were living and breathing here. If you die here, you'll really die. Taichi: ...what? That... that can't be....
Taichi turns and looks at the firewall once more, and now the peril becomes real for him.
Taichi: It can't be.... I didn't think I'd really die.... Jou: (releases him) Taichi.... Koushiro: The hidden passage is one meter to the right.
Not only can Taichi die. But if Jou hadn't grabbed him, he would have died. His guess was wrong.
Now understanding the danger of the firewall, Taichi freezes up. He can't bring himself to move.
Taichi: What... What's wrong with me? Sora's in danger!
No matter how he tries to motivate himself, his body simply won't move.
The dub plays all of this drama straight. The only gag they add is Jou's line when he grabs Taichi.
Joe: Pain still hurts, Tai!
They also move Izzy's reveal of the gap's location to an earlier shot with his back turned, when Tai's realizing the lethality of the fence. This is so they can extend Tai's reluctance and make it a group activity.
Izzy: The weak point is one meter to your right. Tai: No way... Just one false move and it's over.... Joe: (releases him) Hey, Tai.... Izzy: It's safe, Tai. We came through it alright on the way in. Tai: Yeah, but then I didn't know that.... Izzy: Go on! It's okay. Joe: Come on, Tai, we're wasting time! Sora needs us. What's wrong with you? Izzy: Tai?
Joe's last line is delivered so casually, it's like they're just talking about moving Sora's couch. What do you think is wrong with him, Joe? You can get these things from context!
As Taichi remains paralyzed with terror, time runs out.
Tumblr media
Etemon breaks down the wall using the three Partner Digimon that were fighting him in order to get inside the hidden passage.
Agumon: No good... Taichi... He's too strong for us.... Etemon: (turning towards the kids) Now that it's come to this, I'll start with you.
He doesn't get a chance. Garurumon and Togemon come through the ceiling, unloading Chiku Chiku Bang-Bang and Fox Fire before he knows what hit him. He easily shields himself from Garurumon's blue flames while Togemon's needles don't even faze him.
But it buys the kids a moment to escape, with Yamato physically grabbing the catatonic Taichi to force him to move.
Etemon: What!? This isn't enough to take me down-- Huh? Where did they go?
Etemon is left comically clueless. In the dub, he outright whines.
Etemon: No! Not again! They didn't get away again! Aww, I'm havin' an extremely bad day, bay-beh!
Poor Monkey Elvis. XD He should go sing a song to amuse himself.
It's not super clear which way they went but the camera fixes on the ceiling hole, so probably not the firewall.
The kids regroup on the far side of the shortcut passage, in the craggy valley where they made camp.
Tumblr media
While Yamato, Mimi, and Takeru are informed of what became of Sora, we close on this shot of Taichi weeping.
Yamato: I see. Sora and Piyomon were taken.... Taichi: Damn it... Damn it....
The dub's final exchange is a lot more verbose, with Matt shifted to being accusatory.
Matt: I don't get it. When the creep grabbed Sora and Biyomon, why didn't you go through the firewall after them!? Tai: I couldn't... I couldn't move.... Joe: It's not your fault. We're all in this together, Tai. We'll find a way to get them back. Tai: It's all my fault! Sora! It's all my fault!
But credit to the dub team for ending on a downer and not undercutting it in any way. This is a pleasant surprise after Mimi's Crest episode.
Assessment: As a fallible character who makes mistakes and has to learn from them, I love Taichi. But I am starting to see why Sora fell for Yamato instead, though that's still a few years off. Even if this was a video game, Taichi's behavior would be incredibly obnoxious to the rest of his party.
In his defense, he's 11. He can be forgiven for acting childish when he's literally a child. But he does have a lot of growing up to do. Which is, of course, what this two-parter is about. This is the setup for Taichi's payoff next episode. He has to fall before he can rise.
But it's also wearing kinda thin because we just did this. This is SkullGreymon again. Taichi acting like a reckless hotshot and making awful choices out of insecure overconfidence only to be brutally and horrifically humbled is something we already did only a few episodes ago. The reasons have changed but the behavior and story trajectory are the same.
But, repetitive Taichi aside, I love the way Etemon's Dark Network ultimately proves to be the centerpiece of this story. This makes it distinct from the way Devimon's Black Gears were used, always in a subordinate capacity. The reveal that Etemon's Dark Network has been purposely working against him all along is brilliant and pays off all of the episodes leading up to it.
Don't fuck with the IT guy.
Meanwhile, the dub of this one... has... random children dubbed over the characters. Like. There is nothing I can say that would possibly lay out the flaws in this one moreso than that. I don't actually give letter grades to these episodes except as a punchline, but even so this one gets an F.
It does have parts that I like, and I especially love the way Izzy describes moving through the pyramid as a hacking effort into a system. But it also has random children dubbed over the characters. Oh my god, watch your show one time before releasing it to publication.
16 notes · View notes
digievents · 1 year
Text
Tumblr media
DigiEvents Bulletin 🗞
October Events:
Koushiro Izumi Week: Oct 12th - Oct 15th ( @izumikoushiroweek)
02 Appreciation Countdown: Oct 21st - Oct 27th (@digimon02countdown)
Upcoming November Events:
Taikouvember 2023: Nov 6th - 12th (@taikouvember)
Jou Weekend 2023: Nov 11th - 12th (@joukidoweek)
For a full view of all upcoming Digimon Tumblr events, visit our digi-event calendar!
44 notes · View notes
taikouvember · 6 months
Text
25 notes · View notes
amethyst-geek · 2 months
Text
What school clubs the Digidestined partook in
Since Japanese children are expected to join a school club in their time as students, I thought it would be fun the speculate about the school club participation (or lack thereof) of the main characters from Adventure, 02, Tamers, and Frontier. I was going to speculate on the others well, but that sounded exhausting (plus in the case of Savers, I think it's obvious that none of the main characters were participating in school clubs, at least not during the events of the show).
First, I will list the characters whose club participation has been canonically
Taichi, Daisuke, Ken, and Takuya all play soccer. Sora used to play soccer, but switched to tennis in middle school. Koushiro and Miyako are both in the computer club. Iori is on his school's Kendo team
Now that we've went over those characters, let's speculate on the club participation (or lack thereof) of rest. And since joining more than 1 club is frowned upon in Japan, I will be writing this under the assumption that the kids only do 1 club with a few exceptions .
Adventure
Yamato- I got the vibe he was part of the 'go-home' club
Mimi- Mimi is probably 1 of the few characters I can see participating in more than 1 club. But if she had to only do 1 club, I say she'd be in the drama club, or perhaps a fashion club or cooking club.
Jou- I can see him joining a literature club or perhaps he could be part of a library committee like Komachi from Yes Pretty Cure 5.
Takeru- either basketball or some kind of literature club. I'm leaning towards the latter.
Hikari- Probably photography club.
Tamers- quick note, while I don't think any of them were already in clubs during the events of Tamers, I can see most of them joining clubs in junior high.
Takato- probably art club
Ruki- maybe some sort of sports team or mechanics club
Jian- probably computer club like Koshirou and Miyako.
Juri- home ec club? band? gardening? animal caretaking?
Ryo- I'm just gonna assume he's a member of the go home club
Kenta- upon entering middle school, Kenta considered joining the Chorus club, but much to the relief of everyone's ears, some of his friends out of it, and he instead winds up joining Drama club or literature club.
Hirokazu- drama club
Suzie- she's a little young for me to able to pinpoint what her interests in middle and high school would be, but maybe an animal caretaking like Kotaro in Ghost Game (Hopefully by this point, she's learned to be more gentle with living creatures).
Frontier
Kouji- I can see him joining his school's Kendo or Bōjutsu team.
Izumi- maybe literature club, as she's seen reading in at least 1 flashback
Junpei- one of the CD drama revealed that he took up Opera, so maybe a club relating to music
Tomoki- Once he's old enough to join a school club, I kinda like the idea of him joining cooking club or a home economics club.
Kouichi- maybe a literature club (then again the only time we ever saw him reading a book was in Takuya's imagination). Or maybe soccer, since one of the CD drama reveals that he apparently likes soccer.
10 notes · View notes
izumikoushiroweek · 1 year
Text
Koushiro Week is Coming!
Tumblr media
Friendly and excited reminder that Koushiro week is about a month away <3 Let's celebrate Koushiro and Tentomon together!
Prompts
Rules
Event tag: izumikoushiroweek2023
host: @ahiddenpath
13 notes · View notes
koushirouizumi · 6 months
Text
Digimon Adventure / 02 / tri. / Kizuna / Adventure: [2020] A.M.V {Anime Music Video} (Un-finished PRE-VIEW) ~ O V E R T I M E + Koushiro{u} Izumi & Taichi Yagami {KouTai} / Taishiro{u} (as Semi canon Compliant) {Minimal spoilers for Tri through Kokuhaku, Kizuna up to partway through Final Battle, DigiAdv 2020 36 - Koushiro specific Moments}
Notes: This is what I was working on all up until November! I wanted to finish it in time for a fan event, but, it didn't quite happen in time that way. (Maybe I can finish it later this year?!) For now, please enjoy the preview! I was also working on this together with a mutual - the track choice was mainly their idea, but I was trying to help find scenes that'd fit, and I managed to make quite a few moments work; You'll notice it's slightly different from my usual styles, but I'm also trying to relate specific moments and framing rather clearly here!!
(I'll admit theres a couple lines I thought were harder to work with; I'll figure those out later, but the track was overall fun for them regardless and I really enjoyed trying to make this!!) {As usual, a bit of manipulating scenes does happen, but its not ultra-heavy edits; all of these scenes come direct from canon formats for the most part, with some simple scenic manipulating otherwise!}
Digimon Adventure Franchise (C) Toei Animation Track (C) The Strike THIS IS A FAN MADE WORK; NO $$$ IS BEING MADE OFF THIS WORK.
{DO NOT R E P O S T} {DO NOT RE P R O D U C E My Editing UNDER ANY CIRCUMSTANCES WHATSOEVER} (Trust Me, I WILL Know)
If the embed doesn't show at any time; Please check back to this post later on, as the site's player has been having issues at times!! (If it continues to not show, please feel free to ping me!)
Tumblr media Tumblr media
9 notes · View notes
izzyizumi · 2 years
Text
Tumblr media Tumblr media Tumblr media
Digimon Adventure tri. Pt 3: Kokuhaku ["Confession"] featuring DUO/SHIP: Taichi Yagami x Koushiro Izumi (Taishiro/TaiKou/KouTai) ~ Important QUOTES ~ Foreshadowing ~ [Potential] PARALLELS (across other Medias) {with Novels}
"DON'T YOU {WANT} TO [SEE THEM?!??]" - Koushiro, with voice somewhat RAISED, almost INSISTENT,
"AGUMON AND the REST!" - Koushiro continuing, as Taichi flash-backs;
"IT MADE ME {GLAD} To hear {YOU} speak so casually {openly} to me,"
"I felt like you'd finally OPENED UP {REVEALED} {Your heart} to [ME]."
-Novels!Taichi; to Koushiro; during the (condensed) Mugendramon {Machinedramon} arc tie-in [from Novel written by Adventure-02 series Director + head scriptwriter Hiroyuki Kakudou & with contribution by screenplay writer Hiro Masaki, who contributed often to Koushiro's writing/episodes]
(Koushiro in the Japanese version is known for speaking using "Polite" speech forms, almost overly-so during the vast majority of the wider series canon) [Koushiro also always calls Taichi with the "-san" honorific] {this also continues with Tri!Koushiro's speech}
Gifs by @izzyizumi / @koushirouizumi​ {Do Not Repost or Reproduce without my Permission} {Do Not Remove Caption} (Please Ask to Use)
Image usage rules under the ‘read more’!
Tumblr media Tumblr media
{Additional note: this post is mainly intended AS [SPECIFIC] DUO/SHIP (KouTai/Taishiro). please remember + respect this when interacting} please tag with the ship name[s] and DO NOT tag as only “brotp” or the like only*, thank you!} (*It is ok if you tag as “friendship” or the like along WITH the ship!!) {Failure to acknowledge interacting rules WILL result in a block}
Tumblr media
[Note: Tags/replies that are Positive/respectful are ok!]
25 notes · View notes